Vis enkel innførsel

dc.contributor.authorHussain, Musharraf
dc.contributor.authorBaloach, Naveed Khan
dc.contributor.authorAli, Gauhar
dc.contributor.authorElAffendi, Mohammed
dc.contributor.authorDhaou, Imed Ben
dc.contributor.authorSajid Ullah, Syed
dc.contributor.authorUddin, Mueen
dc.date.accessioned2024-04-16T13:13:24Z
dc.date.available2024-04-16T13:13:24Z
dc.date.created2023-05-22T10:15:05Z
dc.date.issued2023
dc.identifier.citationHussain, M., Baloach, N. K., Ali, G., ElAffendi, M., Dhaou, I. B., Sajid Ullah, S. & Uddin, M. (2023). Hardware Trojan Mitigation Technique in Network-on-Chip (NoC). Micromachines, 14(4), 1-19.en_US
dc.identifier.issn2072-666X
dc.identifier.urihttps://hdl.handle.net/11250/3126853
dc.description.abstractDue to globalization in the semiconductor industry, malevolent modifications made in the hardware circuitry, known as hardware Trojans (HTs), have rendered the security of the chip very critical. Over the years, many methods have been proposed to detect and mitigate these HTs in general integrated circuits. However, insufficient effort has been made for hardware Trojans (HTs) in the network-on-chip. In this study, we implement a countermeasure to congeal the network-on-chip hardware design in order to prevent changes from being made to the network-on-chip design. We propose a collaborative method which uses flit integrity and dynamic flit permutation to eliminate the hardware Trojan inserted into the router of the NoC by a disloyal employee or a third-party vendor corporation. The proposed method increases the number of received packets by up to 10% more compared to existing techniques, which contain HTs in the destination address of the flit. Compared to the runtime HT mitigation method, the proposed scheme also decreases the average latency for the hardware Trojan inserted in the flit’s header, tail, and destination field up to 14.7%, 8%, and 3%, respectively.en_US
dc.language.isoengen_US
dc.publisherMDPIen_US
dc.rightsNavngivelse 4.0 Internasjonal*
dc.rights.urihttp://creativecommons.org/licenses/by/4.0/deed.no*
dc.titleHardware Trojan Mitigation Technique in Network-on-Chip (NoC)en_US
dc.typePeer revieweden_US
dc.typeJournal articleen_US
dc.description.versionpublishedVersionen_US
dc.rights.holder© 2023 The Author(s)en_US
dc.subject.nsiVDP::Teknologi: 500::Informasjons- og kommunikasjonsteknologi: 550en_US
dc.source.pagenumber19en_US
dc.source.volume14en_US
dc.source.journalMicromachinesen_US
dc.source.issue4en_US
dc.identifier.doihttps://doi.org/10.3390/mi14040828
dc.identifier.cristin2148373
dc.source.articlenumber828en_US
cristin.qualitycode1


Tilhørende fil(er)

Thumbnail

Denne innførselen finnes i følgende samling(er)

Vis enkel innførsel

Navngivelse 4.0 Internasjonal
Med mindre annet er angitt, så er denne innførselen lisensiert som Navngivelse 4.0 Internasjonal